Telling it like it is on the inside of production semiconductors as seen at Chipworks



Thursday, January 14, 2016

What to Expect in 2016 in the Chipworld

It’s the time in the media world that we see a frenzy of predictions for the coming year. They are mostly business or tech trends, so I figured I might as well chip in (har! har!), and give a more detailed idea of what new semiconductor products we look forward to this year, now that we are in 2016.

This might seem to be a bit like fortune-telling, but it’s actually a compilation of the notes we’ve made from this year’s press announcements, coupled with the trends we’ve observed in our reverse engineering, and keeping an open ear at the industry events that we’ve attended.

Logic and Foundries

2016 will be a relatively quiet year when it comes to the leading-edge processes, since we do not expect to see a high-volume of 10 nm products this year. There has been a fair bit of comment that the upcoming Apple A10 processor might be on 10 nm this autumn, but to me it seems a real stretch to expect a full node advance barely 18 months after the introduction of a 14 nm product from Samsung, and a 16 nm product from TSMC, especially in the volume that Apple would require.

We do expect to see the second generation 14/16 nm processes, FinFET Plus (16FF+) from TSMC and 14LPP from Samsung and possibly their co-supplier GLOBALFOUNDRIES. The second-tier foundries such as UMC and SMIC will be ramping up their 28 nm high-k metal gate (HKMG) product, so we will be monitoring those as we get them. It appears that UMC will be skipping 20 nm and going straight to 14 nm, but that will not likely appear until 2017.

When it comes to fully depleted silicon on insulator (FD-SOI), we expect to see a mainstream 28 nm product this year, since Samsung has stated that they are producing, and have shipped more than a million wafers, with STMicroelectronics as one of their lead customers. Chipworks has already analyzed a custom 28 nm FD-SOI ASIC manufactured at STMicroelectronics, which was a simple implementation without back-bias. For the mainstream parts, we will be analyzing back-bias implementation, if found, as it is touted as one of the big advantages of FD-SOI.

GLOBALFOUNDRIES is also on the FD-SOI bandwagon, but they seem to be concentrating on their 22FDX™ processes. A number of the ASIC design houses are claiming to be designing into those, so with luck we will see some very early product by year-end.

There will also be a continued emphasis on low power variants of older generation processes, such as 40 and 55 nm, aimed at mobile/wearable devices where battery life is critical.

To finish up, another process sector where we expect to see development is radio frequency silicon on insulator (RF-SOI). We are already seeing the introduction of RF-SOI into products such as antenna switches for the RF front end of mobile phones.

DRAM

This will be another year of evolution for dynamic random-access memory (DRAM), with the introduction of 1X nm generation memories by the big three (Micron, Samsung, and SK Hynix), although possibly not until year-end.


Micron has 1X and 1Y nm nodes in its roadmap (above), enabling 1X volume mid-2016.


Samsung predicts three 1X nm nodes (see above), though there is no time scale here; however, we already have their 20 nm part, which is in volume production, so it’s reasonable to expect a 1X nm part this year. We haven’t heard anything formal from SK Hynix, but again, we already have their 20 nm part, so we would expect a 1X nm device in 2016.

The other facet of the DRAM business is stacked memory using through-silicon vias (TSVs); in 2015 we saw the Samsung version, and the SK Hynix High Bandwidth Memory (HBM). We’re still waiting for the Intel/Micron Hybrid Memory Cube (HMC), and we expect to get our hands on that this year, as well as the HBM2 from SK Hynix.

NAND Flash

The big news in NAND flash memory is the introduction of 3D/vertical technology, with the bitcells stacked one above another instead of on the die surface. Samsung launched their V-NAND over a year ago, with 32 active layers in both multi-level cell (MLC) and tri-level cell (TLC) versions, using charge-trap storage technology. They are now shipping the third generation part (256 Gb) with 48 layers, so we should see that in the near future.

Last month, at IEDM (International Electron Devices Meeting), Intel/Micron detailed their 3D-NAND, a 32-layer device, this time using conventional floating gate charge storage. According to their investor calls, they are sampling these at the moment and should be shipping volume in the second half of this year.

SEM cross-section of Intel/Micron vertical-channel 3D-NAND structure

SanDisk/Toshiba are also sampling, but their 3D-NAND is a 48-layer, 256-Gb TLC device, built using their own Bit-Cost Scalable (BiCS) charge-trap technology. They have been more cautious about the economics of launching 3D technology, but again I look forward to getting some in 2016. Last, but not necessarily least, SK Hynix claim that they are already in 3D production, and we should also see their floating-gate version this year.

In parallel, all the companies are still evolving planar flash products – we will likely find 13 – 15 nm planar flash chips being launched, since the 15/16-nm ones are already here.

Emerging Memory

The highest-profile announcement this year for this memory class was from Intel/Micron, on their 3D XPoint memory; this appears to be some sort of resistive random-access memory (RRAM), using “bulk properties” to provide memory storage in a cross-point layout.


Both Intel and Micron predict a big future for this product; Micron claims that the 3D XPoint business could easily be of the same order of magnitude as their DRAM businesses by 2018, and Intel sees broad applications for 3D XPoint memory (dubbed Optane), and says that it will be available this year for PC and server usage.

Less noticed was a similar release from SanDisk and HP, also detailing storage-class RRAM-based memory, but with no details as to launch dates. Micron and Sony also have a jointly developed RRAM, but again no dates.

Image Sensors

There has been a steady evolution in the image sensor biz, with Sony leading the pack, and culminating in the deep-trench isolation between pixels in the Apple 6s/6s Plus camera. Sony has had a two year+ lead in stacking the sensor on top of the image processor and connecting the two with custom TSVs, but we now see OmniVision and Samsung with design wins using multiple versions of its new stacked chip products.

We can, no doubt, expect to see a further-evolved camera chip in the iPhone 7, and Apple’s competitors will also be pushing the envelope, so we will be monitoring every new smartphone to see what appears.

Meanwhile, other sectors are developing fast – to name two, the push on automated driver self-assist (ADAS) and self-driving vehicles is providing a new space for lower-tech (but different specifications) image sensors, and security is likely to be a hot market given last year’s terror attacks.

Advanced Packaging

Packaging technology has been in as much ferment as any of the wafer fab technologies, with 2.5/3D stacking getting most of the press. We expect 2016 to be a busy year in that space too; TSMC is producing its Chip-on-Wafer-on-Substrate (CoWoS) silicon interposer for a limited range of products, and seems about to launch its cheaper integrated fan-out (InFO) organic substrate, possibly using it for the Apple A10 system-on-chip (SoC) this fall.

 
TSMC has TSVs in volume production, though not high-density for 2.5/3D; the new fingerprint sensor in the Apple 6s/6s Plus uses TSVs so that the wire bonds don’t get in the way of the sapphire touchpad.

Intel has a parallel “Embedded Multi-die Interconnect Bridge” (EMIB) technology (to TSMC’s InFO), and given the completed Altera deal, we may finally see a 14 nm field-programmable gate array (FPGA) product with EMIB this year.

Add in the Intel/Micron HMC, SK Hynix’s HBM2 and Wide IO2 stack, and the OSATs are also pushing the envelope and likely to ship new formats this year, so there will be plenty for us to look at.

Wrap-up

This has been a relatively high-level review of what we expect this year, but as you can see from the above, it will be quite a hectic year – lots of new technology for us to analyze!


Sunday, January 10, 2016

Intel/Micron Detail Their 3D-NAND at IEDM


On the Monday afternoon at IEDM the key paper for me was the Intel/Micron talk on their 3D-NAND flash part (paper 3.3), which is currently sampling to customers. Samsung put their V-NAND flash on the market last year, but that uses charge-trap technology, whereas the Intel/Micron device has adapted conventional floating gate technology to the vertical direction.
This is the first-generation product, with 32 active tiers plus additional layers for dummy wordlines and source and drain select gates. A vertical channel surround-gate structure is used for the flash cells. The CMOS decoders and sense-amps are situated under the NAND flash array, which saves significantly on die area. It appears that this product will be a 256-Gb memory, or 384 Gb when the TLC version is introduced. Die size is 168.5 mm2, giving a bit density of 1.52 and 2.28 Gb/mm2 for the MLC and TLC devices.

  Intel/Micron 3D-NAND flash die (Source: Intel/Micron/IEDM)

The wordlines/control gates are horizontal polysilicon layers with an ONO inter-poly dielectric, and the floating gates are also polySi. The vertical channel and tunnel dielectric are formed in holes etched through a horizontal polySi/oxide stack. 

SEM cross-section of vertical-channel 3D-NAND structure  (Source: Intel/Micron/IEDM)

The process is shown below; the cell hole is first etched through the wordline tiers, and then the control gate is recessed back and the inter-poly dielectric is formed. The floating gate is then deposited, and etched back to form an isolated floating gate in each cell; the tunnel-oxide is formed, and the polySi channel is deposited to line the hole in the stack.
Process flow of vertical-channel 3D-NAND stack formation  (Source: Intel/Micron/IEDM)

An image of the full stack is shown below; I see 38 wordline layers, plus a thick polySi layer at top and bottom of the stack, presumably for the drain and source select transistors. There are two tungsten metal layers below the stack for the decoders and sense-amps, and also the wordline drivers; and it looks like the M3 bitline is also tungsten. There is another metal level above used for power busses and global interconnects, but we don’t know if that is copper or aluminum.


Putting the wordline drivers under the array is claimed to keep the wordlines short, but it raises some questions – how are the wordlines contacted from below? Do we have the sort of staircase at the ends of the wordlines that we saw in the Samsung V-NAND, and could it be inverted? (Can’t imagine that!)
SEM cross-section of 3D-NAND stack  (Source: Intel/Micron/IEDM)



M3 bitline



M2



NAND cell stack


The vertical channels contact what looks like a polySi sourceline at the base of the stack; it’s a bit clearer in this schematic:

Schematic of base of 3D-NAND stack  (Source: Intel/Micron/IEDM)

While the NAND cells are floating gate cells, we can see that the source and drain select devices are single gate oxide transistors.

The larger size of the cell improves the performance since it has a higher cell capacitance – more electrons can be stored, and a better natural Vt distribution (~50%) is achieved. (Note that at 20-nm planar, less than 10 electrons gave 100mv Vt shift!)
 
Cell/cell interference of 3D-NAND vs planar NAND  (Source: Intel/Micron/IEDM)

The cell geometry also means that the cell/cell interference is reduced – again, comparing to the 20-nm planar chip;
Cell/cell interference of 3D-NAND vs planar NAND  (Source: Intel/Micron/IEDM)

We will see what the commercial part looks like when we get our hands on one, likely in the first few months of next year. Unfortunately there are no scale bars on any of the images, so we have no feel for what the actual dimensions are; though probably not too different from the Samsung, which is classed as a 40-nm device.

There are actually not too many features in common with the Samsung chip – vertical stacking with 32 active layers, and that’s about it. Otherwise, charge-trap technology vs floating-gate; polySi wordlines vs tungsten; metallization below the stack, vs none; and maybe a completely different way of accessing the wordlines.

For now, we wait and see!